数电病房呼叫系统(完整仿真资料)

上传者: wwluckyww | 上传时间: 2019-12-21 21:58:32 | 文件大小: 375KB | 文件类型: zip
1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低; 2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理

文件下载

评论信息

  • qq_21999949 :
    程序和电路都还可以,达到了我的要求,可以参考
    2016-03-27
  • baidu_16863131 :
    好东西,可惜我打不开
    2014-06-25
  • sundongzheng :
    程序很好,电路完整,很好地达到了程序的要求,值得借鉴
    2014-04-20
  • 叶殇花葬 :
    放在10上 就不能用了
    2013-06-13
  • zjh0821 :
    不错啊,仿真成功,谢谢
    2012-06-09

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明