基于vhdl的串行发送器,状态机编写

上传者: 41776235 | 上传时间: 2020-01-03 11:39:52 | 文件大小: 213KB | 文件类型: docx
设计一个串行数据发送器。并行8位数据‘Z’载入发送器后,通过串行口‘X’输出。具体要求如下 1、信号‘load’用来指示数据载入是否完成。当load变为1时,说明数据Z已经载入完成。当load变为0时开始发送数据。 2、Z的低位先发送 3、在发送Z之前先发送起始位‘0’ 4、Z发送完毕后,再发送奇偶校验位,(设计位偶校验位,即发送的8位数据+奇偶校验位9位数据‘1’的个数为偶);然后再发送结束位‘1’; 5、结束位发送完毕,empty输出‘1’;

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明