FPGA作业题verilog源文件 哈夫曼编码

上传者: sinat_35549832 | 上传时间: 2019-12-21 21:07:04 | 文件大小: 74KB | 文件类型: rar
Xilinx哈夫曼编码 对一段数据序列进行哈夫曼编码,使得平均码长最短,输出各元素编码和编码后的数据序列。 1. 设计要求 (1)组成序列的元素是[0-9]这10个数字,每个数字其对应的4位二进制数表示。比如5对应0101,9对应1001。 (2)输入数据序列的长度为256。 (3)先输出每个元素的编码,然后输出数据序列对应的哈夫曼编码序列。 环境是ISE 14.7, ModelSim 10.4

文件下载

资源详情

[{"title":"( 10 个子文件 74KB ) FPGA作业题verilog源文件 哈夫曼编码","children":[{"title":"哈夫曼","children":[{"title":"v4_dcm.v <span style='color:#111;'> 3.11KB </span>","children":null,"spread":false},{"title":"INPUT.v <span style='color:#111;'> 1.95KB </span>","children":null,"spread":false},{"title":"tb_Top.v <span style='color:#111;'> 1.83KB </span>","children":null,"spread":false},{"title":"ALLSORT.v <span style='color:#111;'> 7.05KB </span>","children":null,"spread":false},{"title":"OUTPUT.v <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"工程图.png <span style='color:#111;'> 36.23KB </span>","children":null,"spread":false},{"title":"模块功能.png <span style='color:#111;'> 40.52KB </span>","children":null,"spread":false},{"title":"Top.v <span style='color:#111;'> 3.85KB </span>","children":null,"spread":false},{"title":"HTREE.v <span style='color:#111;'> 5.68KB </span>","children":null,"spread":false},{"title":"ENCODE.v <span style='color:#111;'> 3.07KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

  • njluhao :
    下载过来学习一下
    2019-09-21
  • zbh596858623 :
    学习学习学习
    2019-06-19
  • 月光燧石 :
    下载过来学习一下
    2019-06-01

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明