用FPGA控制LCD显示字符,汉字

上传者: shl5201986 | 上传时间: 2020-01-03 11:34:15 | 文件大小: 984KB | 文件类型: rar
在FPGA上用两个状态机来控制LCD显示,可以显示汉字。本程序是我自己编写的,有一定的参考价值

文件下载

资源详情

[{"title":"( 104 个子文件 984KB ) 用FPGA控制LCD显示字符,汉字","children":[{"title":"Ctl_LCD.tan.rpt <span style='color:#111;'> 66.70KB </span>","children":null,"spread":false},{"title":"LCD.bsf <span style='color:#111;'> 3.82KB </span>","children":null,"spread":false},{"title":"Ctl_LCD.map.rpt <span style='color:#111;'> 58.00KB </span>","children":null,"spread":false},{"title":"Ctl_LCD.vwf <span style='color:#111;'> 6.73KB </span>","children":null,"spread":false},{"title":"Ctl_LCD.dpf <span style='color:#111;'> 239B </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • HuYanhongqi :
    资源很完整,也很详细。
    2012-08-11

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明