用Verilog实现整数转浮点数

上传者: 36865333 | 上传时间: 2019-12-21 20:46:56 | 文件大小: 218KB | 文件类型: zip
通过Verilog实现整数转浮点数,用MATLAB将数据有整数转浮点数,与FPGA实现

文件下载

资源详情

[{"title":"( 38 个子文件 218KB ) 用Verilog实现整数转浮点数","children":[{"title":"CHQsqrt_float","children":[{"title":"CHQsqrt.v <span style='color:#111;'> 2.62KB </span>","children":null,"spread":false},{"title":"transcript <span style='color:#111;'> 58B </span>","children":null,"spread":false},{"title":"work","children":[{"title":"_vmake <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"@c@h@qfsqrt","children":[{"title":"verilog.prw <span style='color:#111;'> 1.83KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 6.92KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 611B </span>","children":null,"spread":false},{"title":"verilog.psm <span style='color:#111;'> 117.58KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false}],"spread":true},{"title":"@c@h@qfsqrt_tb","children":[{"title":"verilog.prw <span style='color:#111;'> 630B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 709B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 82B </span>","children":null,"spread":false},{"title":"verilog.psm <span style='color:#111;'> 8.25KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 953B </span>","children":null,"spread":false}],"spread":true},{"title":"_temp","children":[{"title":"vlogz0efnj <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false},{"title":"vloghai0yg <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false},{"title":"vlogn7xbzd <span style='color:#111;'> 6.78KB </span>","children":null,"spread":false},{"title":"vlog313ryf <span style='color:#111;'> 6.81KB </span>","children":null,"spread":false},{"title":"vloghi7mh1 <span style='color:#111;'> 6.82KB </span>","children":null,"spread":false},{"title":"vlogj9evwz <span style='color:#111;'> 6.81KB </span>","children":null,"spread":false},{"title":"vlogzjz20g <span style='color:#111;'> 593B </span>","children":null,"spread":false},{"title":"vlog7f32s9 <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false},{"title":"vloghkygw8 <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false},{"title":"vlogxjb300 <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false},{"title":"vlogw4r393 <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false},{"title":"vlogb2y32i <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false},{"title":"vloga36nf0 <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false}],"spread":false},{"title":"_info <span style='color:#111;'> 831B </span>","children":null,"spread":false}],"spread":true},{"title":"CHQfsqrt_tb.v <span style='color:#111;'> 551B </span>","children":null,"spread":false},{"title":"Rdata.txt <span style='color:#111;'> 1.76KB </span>","children":null,"spread":false},{"title":"CHQfsqrt.v.bak <span style='color:#111;'> 3.65KB </span>","children":null,"spread":false},{"title":"CHQfsqrt_tb.v.bak <span style='color:#111;'> 550B </span>","children":null,"spread":false},{"title":"a1.dat <span style='color:#111;'> 17.58KB </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 3.25MB </span>","children":null,"spread":false},{"title":"Rdata.txt.bak <span style='color:#111;'> 1.76KB </span>","children":null,"spread":false},{"title":"CHQfsqrt.mpf <span style='color:#111;'> 19.99KB </span>","children":null,"spread":false},{"title":"CHQfsqrt.cr.mti <span style='color:#111;'> 465B </span>","children":null,"spread":false},{"title":"CHQfsqrt.v <span style='color:#111;'> 3.68KB </span>","children":null,"spread":false},{"title":"CHQsqrt.v.bak <span style='color:#111;'> 2B </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • bjyo :
    文实不符,是不是传错了?
    2020-07-31
  • 541板哥 :
    不错,很好用东西
    2019-01-21

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明