交织解交织vhdl代码

上传者: lixiuhan | 上传时间: 2019-12-21 20:11:08 | 文件大小: 36KB | 文件类型: zip
用VHDL语言编写的实现交织编码和解交织功能的代码。交织采用按行写入,按列读出的方法实现。主要包括:信源信号产生(20位的m序列),交织器,解交织器。为实现流水线的操作,采用了两个交织器和两个解交织器,当一个写入数据的时候,另一个读出数据

文件下载

资源详情

[{"title":"( 5 个子文件 36KB ) 交织解交织vhdl代码","children":[{"title":"interweave_1","children":[{"title":"interweave_1","children":[{"title":"interweave_decording.vhd <span style='color:#111;'> 4.37KB </span>","children":null,"spread":false},{"title":"m_sequence.vhd <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"top_interweave.vhd <span style='color:#111;'> 2.40KB </span>","children":null,"spread":false},{"title":"interweave_cording.vhd <span style='color:#111;'> 4.41KB </span>","children":null,"spread":false},{"title":"Waveform Editor top_interweave.awf <span style='color:#111;'> 225.98KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

  • yedeqimingxing :
    还不错的说~
    2014-02-12
  • 雨声不在 :
    还不错,可惜后来没做这个项目
    2013-06-10

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明