verilog实现60进制计数器

上传者: lifengchenchenlifeng | 上传时间: 2019-12-21 20:08:11 | 文件大小: 1KB | 文件类型: zip
verilog实现60进制计数器源代码及测试代码

文件下载

资源详情

[{"title":"( 2 个子文件 1KB ) verilog实现60进制计数器","children":[{"title":"60进制计数器","children":[{"title":"60进制.txt <span style='color:#111;'> 1.17KB </span>","children":null,"spread":false},{"title":"60进制测试模块.txt <span style='color:#111;'> 332B </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

  • woo945 :
    比网页上的乱码好多了
    2016-05-17
  • conanfans01 :
    还行,可以用
    2013-07-10
  • wry910218 :
    还行吧,不够详细
    2013-05-13
  • qingfeng623 :
    一般般吧,很容易的程序。帮别人下的
    2013-05-02
  • zp661025 :
    还行,但是不够详细,达不到我要的要求
    2013-01-12

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明