EDA课程设计-可控脉冲发生器的设计

上传者: graduate_2017 | 上传时间: 2019-12-21 19:48:26 | 文件大小: 5.3MB | 文件类型: zip
设计一个可控的脉冲发生器,要求输出的脉冲波的周期和占空比都可变。具体的实验过程中,然后再用按键模块的S1 和S2 来控制脉冲波的周期,每按下S1,N 会在慢速时钟作用下不断地递增1,按下S2,N 会在慢速时钟作用下不断地递减1;用S3 和S4 来控制脉冲波的占空比,每按下S3,M 会在慢速时钟作用下不断地递增1,每按下S4,M 会在慢速时钟作用下不断地递减1,S8 用作复位信号,当按下S8 时,复位FPGA内部的脉冲发生器模块。脉冲波的输出直接输出到实验箱观测模块的探针,以便用示波器观察输出波形的改变

文件下载

资源详情

[{"title":"( 293 个子文件 5.3MB ) EDA课程设计-可控脉冲发生器的设计","children":[{"title":"pluse.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"pluse.asm.rpt <span style='color:#111;'> 7.37KB </span>","children":null,"spread":false},{"title":"stp1.stp <span style='color:#111;'> 8.53KB </span>","children":null,"spread":false},{"title":"Waveform3.vwf <span style='color:#111;'> 3.69KB </span>","children":null,"spread":false},{"title":"Waveform.vwf <span style='color:#111;'> 3.86KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • qq_39431518 :
    这是什么玩意?并不是我想要的。
    2017-07-06
  • qq_39431518 :
    这是什么玩意?并不是我想要的。
    2017-07-06

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明